Intel to Double AI Performance of Panther Lake Processors Relative to Lunar Lake

In the latest financial report, Intel’s CEO, Pat Gelsinger, outlined an ambitious expansion plan for their Core Ultra platform. This year, the platform will see the addition of Arrow Lake and Lunar Lake processors, which will offer up to three times the AI performance of the current Meteor Lake. Next year, Intel will launch the Panther Lake series processors, doubling AI performance in desktop and laptop segments.

“Our Core Ultra platform leads in AI tasks in the consumer segment. We will double our lead from competitors with the launch of next-generation Lunar Lake and Arrow Lake platforms this year. In 2025, our new Panther Lake processors will provide an additional two-fold increase in AI performance.”—assures Gelsinger.

During the investors meeting, Gelsinger also discussed Intel’s preparation of the signature 18A process for chip production, replacing the 20A process which will be used in consumer Arrow Lake processors. He also noted that Xeon Granite Rapids and Sierra Forest server processors will hit the market in the latter half of this year.

Image Source: Intel

The 18A process will be utilized in future Clearwater Forest processors. According to Gelsinger, development of these chips has completed and production will commence soon. These processors, intended for data centers, will offer up to 288 computing cores and will only use energy-efficient next-generation Darkmont cores.

“We are the first in the industry to combine GAA transistor technologies and backside power delivery in one process. The latter technology will not appear from our nearest competitors for at least two years. Arrow Lake chips will be a key product on our 20A Intel process this year. The 18A Intel process is expected to be ready for production usage in the second half of 2024. I am pleased to announce that Clearwater Forest chips, our first server processors based on Intel 18A, are also production-ready. Consumer Panther Lake chips are nearing completion.” —added Gelsinger.

Intel Processor Chip

Intel has high expectations not just for the 18A process’ application in their products but also as a cutting-edge process that can be offered to third-party chip developers as a foundry service. In 2023, Intel has reportedly made strides in establishing a foundry ecosystem, with more than 40 agreements inked for services in chip design and production, including with the US military and aerospace industry.

On February 21st, Intel is staging the IFS Direct Connect 2024 event, where it will detail its roadmap for future products.

The financial report also touched on the future of specialized AI accelerators, Gaudi 3. These are expected to launch this year, offering a fourfold increase in performance and twice the bandwidth compared to predecessors. Development of special accelerators, Falcon Shores, continues.

“We will continue our AI market acquisition with new Gaudi 3 accelerators, which we plan to release this year. We expect a four-fold increase in performance and double the bandwidth from them compared to their predecessors. They are currently being tested in our labs. Their performance level is indeed impressive. The development of Falcon Shores is ongoing.”— stated the Intel CEO.

Related Posts